Welcome![Sign In][Sign Up]
Location:
Search - vhdl motor stepper

Search list

[Other resourcecontrol step motor

Description: 步进电机控制,控制器,控制电机的VHDL源程序-stepper motor control, controllers, motor control VHDL source
Platform: | Size: 1063 | Author: | Hits:

[VHDL-FPGA-Verilogcontrol step motor

Description: 步进电机控制,控制器,控制电机的VHDL源程序-stepper motor control, controllers, motor control VHDL source
Platform: | Size: 1024 | Author: | Hits:

[Othermotorctrl

Description: 滤波器设计及数据采集系统,希望对你有用,欢迎分享。本人想要VHDL的步进电机控制代码-filter design and data acquisition systems in the hope that useful to you, welcome to share. I want VHDL code stepper motor control
Platform: | Size: 251904 | Author: 代松洮 | Hits:

[VHDL-FPGA-VerilogCPLDxiaoche

Description: 智能机器小车主要完成寻迹功能,由机械结构和控制单元两个部分组成。机械结构是一个由底盘、前后辅助轮、控制板支架、传感器支架、左右驱动轮、步进电机等组成。控制单元部分主要由主要包含传感器及其调理电路、步进电机及驱动电路、控制器三个部分。本设计的核心为控制器部分,采用Altera MAX7000S系列的EPM7064LC84-15作主控芯片。CPLD芯片的设计主要在MAX+plusⅡ10.0环境下利用VHDL语言编程实现。驱动步进电机电路主要利用ULN2803作为驱动芯片。 -intelligent machines trolley track of the major functions by mechanical structure and control modules of two components. Mechanical structure is a chassis, after supporting wheels, the control panel stent, sensors stent, driving wheel around, Stepper motors, and other components. Some of the main control unit from the mainly contains sensors and conditioning circuits, and stepper motor drive circuit, the controller of three parts. The design for the core controller, Altera MAX7000S the EPM7064LC84-15 for the control chip. CPLD chip design mainly in MAX II plus 10.0 environment using VHDL programming. Stepper motor driver circuit using mainly driven ULN2803 chip.
Platform: | Size: 1024 | Author: lili | Hits:

[Embeded-SCM DevelopVHDL_stepper-motor

Description: 用于CPLD的控制,VHDL编程,实现控制三相步进电机的正反转。-control for CPLD, VHDL programming, control of three-phase stepper motor rotating direction.
Platform: | Size: 281600 | Author: 胡迪 | Hits:

[VHDL-FPGA-VerilogsteppermotorVHDL

Description: 一种全新的VHDL控制步进电机驱动代码,以供学习-a new VHDL controlled stepper motor driver code for learning
Platform: | Size: 1024 | Author: xufeng | Hits:

[VHDL-FPGA-Verilogstep_motor.vhd

Description: 用VHDL编写的步进电机控制方法.供大家参考用.-prepared using VHDL stepper motor control methods. For your reference.
Platform: | Size: 1024 | Author: lfy | Hits:

[VHDL-FPGA-VerilogVHDL

Description: VHDL控制步进电机原理PDF文档非常有用,看看吧-VHDL control of stepper motor principle of PDF files is very useful to see it
Platform: | Size: 199680 | Author: 王攀 | Hits:

[SCMep1c6_12_1_2_moto

Description: 基于fpga和sopc的用VHDL语言编写的EDA步进电机驱动控制-FPGA and SOPC based on the use of VHDL language EDA stepper motor driver control
Platform: | Size: 87040 | Author: 多幅撒 | Hits:

[SCMCPLD

Description: 控制三相步进电机及光电编码器的采集,当电机停止时,保证三相里面只有一相相通,防止停止时电流过大.-Control three-phase stepper motor and optical encoder collection, when the motor stops to ensure that only one phase of three-phase inside the heart, and to prevent too much current is stopped.
Platform: | Size: 580608 | Author: suifeg | Hits:

[VHDL-FPGA-VerilogStepperMotorPorts

Description: 一款简单的可以用来驱动4线或6线的步进电机控制器-A simple can be used to drive 4-wire or 6 wire stepper motor controller
Platform: | Size: 2048 | Author: 李利歌 | Hits:

[VHDL-FPGA-Verilog76_PID

Description: 一个非常好的电机转速控制器VHDL源代码设计-A very good motor speed controller VHDL design source code
Platform: | Size: 2048 | Author: linew | Hits:

[VHDL-FPGA-Verilogstepper_motor_control_design_example

Description: 步进电机 VHDL 控制,整步 半步 细分 actel FPGA使用-VHDL stepper motor control, whole-step half-step breakdown of the use of actel FPGA
Platform: | Size: 1353728 | Author: 李宁 | Hits:

[VHDL-FPGA-Verilogfpga

Description: fpga很有价值的27实例,包括步进电机定位控制系统VHDL程序与仿真、ASK调制与解调VHDL程序及仿真、TLC7524接口电路程序等非常实用。-FPGA valuable 27 examples, including the stepper motor positioning control system and simulation of VHDL program, ASK modulation and demodulation process and VHDL simulation, TLC7524 procedures interface circuit is very practical.
Platform: | Size: 1278976 | Author: 秦华 | Hits:

[VHDL-FPGA-Verilogstep_motor

Description: 本程序设计一个基于FPGA的4相步进电机定位控制系统。由步进电机方向设定电路模块、步进电机步进移动与定位控制模块和编码输出模块构成。前两个模块完成电机旋转方向设定,激磁方式设定和定位角度的换算等工作,后一个模块用于对换算后的角度量编码输出。-This procedure to design an FPGA-based 4-phase stepper motor positioning control system. Direction set by the stepper motor circuit module, stepper motor stepper movement and positioning control module and the code output modules. The first two modules complete the motor rotation direction setting, exciting way of setting the angle and positioning of the conversion work, after a module for the point of view of the volume of converted output encoding.
Platform: | Size: 165888 | Author: yato_logo | Hits:

[VHDL-FPGA-VerilogMiniStep

Description: XC95144步进电机驱动器源码,采用verilog vhdl开发,个人原创-XC95144 stepper motor drive source, using verilog vhdl development, personal originality
Platform: | Size: 4850688 | Author: 王明新 | Hits:

[SCMmotor

Description: ALTEA EPM7128 CPLD的用于控制三维步进电机的VHDL源代码-ALTEA EPM7128 CPLD is used to control the stepper motor of three-dimensional VHDL source code
Platform: | Size: 2048 | Author: lauking | Hits:

[VHDL-FPGA-Verilogbujindianji

Description: vhdl代码!步进电机定位控制系统VHDL程序与仿真!初学者可以参考参考-VHDL code! Stepper motor positioning control system and simulation of VHDL procedures! Beginners can refer to reference
Platform: | Size: 5120 | Author: daxiadian2 | Hits:

[VHDL-FPGA-VerilogVHDL

Description: 已经开发成产品的步进电机定位控制系统的VHDL程序-Has developed into a product positioning stepper motor control system VHDL procedures
Platform: | Size: 1024 | Author: ww | Hits:

[Software EngineeringVHDLsourcecode

Description: source code for counter, freq devider, traffic light, stepper motor, flipflop
Platform: | Size: 2048 | Author: ibnudahlan | Hits:
« 12 3 4 5 6 »

CodeBus www.codebus.net